Community Profile

photo

sadique sheikh


Active since 2016

Followers: 0   Following: 0

Statistics

Feeds

View by

Question


Please correct my code : rgb2gray image conversion using VHDL code
Please correct my code : rgb2gray image conversion using VHDL code. I need in help in VHDL coding. This code is not working. ...

8 years ago | 0 answers | 0

0

answers

Question


Please help me in calculating area of irregular objects or images (shapes) Urgent.
I have designed area measurement system using simple FPGA(Arduino IDE C code and Papilio loader) matlab code (like rgb to graysc...

8 years ago | 0 answers | 0

0

answers