Community Profile

photo

Arvind

MathWorks

Last seen: 2 years ago Active since 2015

Followers: 0   Following: 0

Medical Devices Industry Manager
ex-Technical Marketing Manager, and Field Application Engineer (Embedded Signal/Video Processing, Code Generation),
The MathWorks
Professional Interests: Medical Devices, Signal/Video Processing, Computer Vision, DSP Hardware, Audio algorithms, medical imaging, patient monitoring, regulations/safety standards, and more...

Statistics

All
  • Personal Best Downloads Level 1
  • First Review
  • 5-Star Galaxy Level 3
  • First Submission
  • First Answer
  • Solver

View badges

Feeds

View by

Solved


Make the vector [1 2 3 4 5 6 7 8 9 10]
In MATLAB, you create a vector by enclosing the elements in square brackets like so: x = [1 2 3 4] Commas are optional, s...

7 years ago

Solved


Times 2 - START HERE
Try out this test problem first. Given the variable x as your input, multiply it by two and put the result in y. Examples:...

7 years ago

Submitted


accessBTC.m
MATLAB Class to read from and write to Background Telemetry Channels (BTC) used by VisualDSP++

7 years ago | 1 download |

Submitted


Integrating Simulink model with VisualDSP++ Project
This recording shows how to create VisualDSP libraries from Simulink models.

7 years ago | 4 downloads |

Thumbnail

Submitted


Generating and Integrating Code Composer Studio library from Simulink for a C5510 DSK
Integrate code generated from a Simulink model into a C5510 DSK Project

7 years ago | 4 downloads |

Thumbnail

Submitted


Introduction to Simulink for Signal Processing
Demo files that goes with the seminar with the same title.

7 years ago | 9 downloads |

Thumbnail

Submitted


3-Band Parametric Equalizer
Simulink model of a 3-band parametric equalizer controlled by a user-friendly GUI.

7 years ago | 3 downloads |

Thumbnail

Answered
Matlab, Serial port, BITalino
Hi Alexander, please try the following steps to see if any of these fixes your issue: 1. Instead of executing instrhwinfo('s...

9 years ago | 0